ThirdParty/Pygments/pygments/lexers/hdl.py

Mon, 25 Mar 2013 03:11:06 +0100

author
T.Rzepka <Tobias.Rzepka@gmail.com>
date
Mon, 25 Mar 2013 03:11:06 +0100
branch
Py2 comp.
changeset 2525
8b507a9a2d40
parent 2426
da76c71624de
child 3145
a9de05d4a22f
permissions
-rw-r--r--

Script changes: Future import added, super calls modified and unicode behavior for str.

808
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
1 # -*- coding: utf-8 -*-
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
2 """
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
3 pygments.lexers.hdl
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
4 ~~~~~~~~~~~~~~~~~~~
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
5
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
6 Lexers for hardware descriptor languages.
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
7
2426
da76c71624de Updated to Pygments 1.6.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 1705
diff changeset
8 :copyright: Copyright 2006-2013 by the Pygments team, see AUTHORS.
808
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
9 :license: BSD, see LICENSE for details.
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
10 """
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
11
2525
8b507a9a2d40 Script changes: Future import added, super calls modified and unicode behavior for str.
T.Rzepka <Tobias.Rzepka@gmail.com>
parents: 2426
diff changeset
12 from __future__ import unicode_literals # __IGNORE_WARNING__
8b507a9a2d40 Script changes: Future import added, super calls modified and unicode behavior for str.
T.Rzepka <Tobias.Rzepka@gmail.com>
parents: 2426
diff changeset
13
808
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
14 import re
1705
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
15 from pygments.lexer import RegexLexer, bygroups, include, using, this
808
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
16 from pygments.token import \
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
17 Text, Comment, Operator, Keyword, Name, String, Number, Punctuation, \
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
18 Error
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
19
1705
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
20 __all__ = ['VerilogLexer', 'SystemVerilogLexer', 'VhdlLexer']
808
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
21
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
22
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
23 class VerilogLexer(RegexLexer):
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
24 """
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
25 For verilog source code with preprocessor directives.
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
26
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
27 *New in Pygments 1.4.*
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
28 """
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
29 name = 'verilog'
2426
da76c71624de Updated to Pygments 1.6.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 1705
diff changeset
30 aliases = ['verilog', 'v']
1705
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
31 filenames = ['*.v']
808
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
32 mimetypes = ['text/x-verilog']
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
33
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
34 #: optional Comment or Whitespace
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
35 _ws = r'(?:\s|//.*?\n|/[*].*?[*]/)+'
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
36
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
37 tokens = {
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
38 'root': [
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
39 (r'^\s*`define', Comment.Preproc, 'macro'),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
40 (r'\n', Text),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
41 (r'\s+', Text),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
42 (r'\\\n', Text), # line continuation
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
43 (r'/(\\\n)?/(\n|(.|\n)*?[^\\]\n)', Comment.Single),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
44 (r'/(\\\n)?[*](.|\n)*?[*](\\\n)?/', Comment.Multiline),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
45 (r'[{}#@]', Punctuation),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
46 (r'L?"', String, 'string'),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
47 (r"L?'(\\.|\\[0-7]{1,3}|\\x[a-fA-F0-9]{1,2}|[^\\\'\n])'", String.Char),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
48 (r'(\d+\.\d*|\.\d+|\d+)[eE][+-]?\d+[lL]?', Number.Float),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
49 (r'(\d+\.\d*|\.\d+|\d+[fF])[fF]?', Number.Float),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
50 (r'([0-9]+)|(\'h)[0-9a-fA-F]+', Number.Hex),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
51 (r'([0-9]+)|(\'b)[0-1]+', Number.Hex), # should be binary
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
52 (r'([0-9]+)|(\'d)[0-9]+', Number.Integer),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
53 (r'([0-9]+)|(\'o)[0-7]+', Number.Oct),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
54 (r'\'[01xz]', Number),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
55 (r'\d+[Ll]?', Number.Integer),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
56 (r'\*/', Error),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
57 (r'[~!%^&*+=|?:<>/-]', Operator),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
58 (r'[()\[\],.;\']', Punctuation),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
59 (r'`[a-zA-Z_][a-zA-Z0-9_]*', Name.Constant),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
60
1705
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
61 (r'^(\s*)(package)(\s+)', bygroups(Text, Keyword.Namespace, Text)),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
62 (r'^(\s*)(import)(\s+)', bygroups(Text, Keyword.Namespace, Text),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
63 'import'),
808
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
64
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
65 (r'(always|always_comb|always_ff|always_latch|and|assign|automatic|'
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
66 r'begin|break|buf|bufif0|bufif1|case|casex|casez|cmos|const|'
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
67 r'continue|deassign|default|defparam|disable|do|edge|else|end|endcase|'
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
68 r'endfunction|endgenerate|endmodule|endpackage|endprimitive|endspecify|'
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
69 r'endtable|endtask|enum|event|final|for|force|forever|fork|function|'
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
70 r'generate|genvar|highz0|highz1|if|initial|inout|input|'
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
71 r'integer|join|large|localparam|macromodule|medium|module|'
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
72 r'nand|negedge|nmos|nor|not|notif0|notif1|or|output|packed|'
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
73 r'parameter|pmos|posedge|primitive|pull0|pull1|pulldown|pullup|rcmos|'
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
74 r'ref|release|repeat|return|rnmos|rpmos|rtran|rtranif0|'
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
75 r'rtranif1|scalared|signed|small|specify|specparam|strength|'
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
76 r'string|strong0|strong1|struct|table|task|'
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
77 r'tran|tranif0|tranif1|type|typedef|'
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
78 r'unsigned|var|vectored|void|wait|weak0|weak1|while|'
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
79 r'xnor|xor)\b', Keyword),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
80
1705
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
81 (r'`(accelerate|autoexpand_vectornets|celldefine|default_nettype|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
82 r'else|elsif|endcelldefine|endif|endprotect|endprotected|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
83 r'expand_vectornets|ifdef|ifndef|include|noaccelerate|noexpand_vectornets|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
84 r'noremove_gatenames|noremove_netnames|nounconnected_drive|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
85 r'protect|protected|remove_gatenames|remove_netnames|resetall|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
86 r'timescale|unconnected_drive|undef)\b', Comment.Preproc),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
87
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
88 (r'\$(bits|bitstoreal|bitstoshortreal|countdrivers|display|fclose|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
89 r'fdisplay|finish|floor|fmonitor|fopen|fstrobe|fwrite|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
90 r'getpattern|history|incsave|input|itor|key|list|log|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
91 r'monitor|monitoroff|monitoron|nokey|nolog|printtimescale|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
92 r'random|readmemb|readmemh|realtime|realtobits|reset|reset_count|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
93 r'reset_value|restart|rtoi|save|scale|scope|shortrealtobits|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
94 r'showscopes|showvariables|showvars|sreadmemb|sreadmemh|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
95 r'stime|stop|strobe|time|timeformat|write)\b', Name.Builtin),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
96
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
97 (r'(byte|shortint|int|longint|integer|time|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
98 r'bit|logic|reg|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
99 r'supply0|supply1|tri|triand|trior|tri0|tri1|trireg|uwire|wire|wand|wor'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
100 r'shortreal|real|realtime)\b', Keyword.Type),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
101 ('[a-zA-Z_][a-zA-Z0-9_]*:(?!:)', Name.Label),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
102 ('[a-zA-Z_][a-zA-Z0-9_]*', Name),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
103 ],
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
104 'string': [
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
105 (r'"', String, '#pop'),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
106 (r'\\([\\abfnrtv"\']|x[a-fA-F0-9]{2,4}|[0-7]{1,3})', String.Escape),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
107 (r'[^\\"\n]+', String), # all other characters
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
108 (r'\\\n', String), # line continuation
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
109 (r'\\', String), # stray backslash
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
110 ],
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
111 'macro': [
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
112 (r'[^/\n]+', Comment.Preproc),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
113 (r'/[*](.|\n)*?[*]/', Comment.Multiline),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
114 (r'//.*?\n', Comment.Single, '#pop'),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
115 (r'/', Comment.Preproc),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
116 (r'(?<=\\)\n', Comment.Preproc),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
117 (r'\n', Comment.Preproc, '#pop'),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
118 ],
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
119 'import': [
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
120 (r'[a-zA-Z0-9_:]+\*?', Name.Namespace, '#pop')
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
121 ]
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
122 }
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
123
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
124 def get_tokens_unprocessed(self, text):
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
125 for index, token, value in \
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
126 RegexLexer.get_tokens_unprocessed(self, text):
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
127 # Convention: mark all upper case names as constants
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
128 if token is Name:
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
129 if value.isupper():
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
130 token = Name.Constant
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
131 yield index, token, value
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
132
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
133
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
134 class SystemVerilogLexer(RegexLexer):
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
135 """
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
136 Extends verilog lexer to recognise all SystemVerilog keywords from IEEE
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
137 1800-2009 standard.
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
138
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
139 *New in Pygments 1.5.*
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
140 """
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
141 name = 'systemverilog'
2426
da76c71624de Updated to Pygments 1.6.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 1705
diff changeset
142 aliases = ['systemverilog', 'sv']
1705
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
143 filenames = ['*.sv', '*.svh']
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
144 mimetypes = ['text/x-systemverilog']
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
145
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
146 #: optional Comment or Whitespace
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
147 _ws = r'(?:\s|//.*?\n|/[*].*?[*]/)+'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
148
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
149 tokens = {
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
150 'root': [
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
151 (r'^\s*`define', Comment.Preproc, 'macro'),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
152 (r'^(\s*)(package)(\s+)', bygroups(Text, Keyword.Namespace, Text)),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
153 (r'^(\s*)(import)(\s+)', bygroups(Text, Keyword.Namespace, Text), 'import'),
808
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
154
1705
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
155 (r'\n', Text),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
156 (r'\s+', Text),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
157 (r'\\\n', Text), # line continuation
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
158 (r'/(\\\n)?/(\n|(.|\n)*?[^\\]\n)', Comment.Single),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
159 (r'/(\\\n)?[*](.|\n)*?[*](\\\n)?/', Comment.Multiline),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
160 (r'[{}#@]', Punctuation),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
161 (r'L?"', String, 'string'),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
162 (r"L?'(\\.|\\[0-7]{1,3}|\\x[a-fA-F0-9]{1,2}|[^\\\'\n])'", String.Char),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
163 (r'(\d+\.\d*|\.\d+|\d+)[eE][+-]?\d+[lL]?', Number.Float),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
164 (r'(\d+\.\d*|\.\d+|\d+[fF])[fF]?', Number.Float),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
165 (r'([0-9]+)|(\'h)[0-9a-fA-F]+', Number.Hex),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
166 (r'([0-9]+)|(\'b)[0-1]+', Number.Hex), # should be binary
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
167 (r'([0-9]+)|(\'d)[0-9]+', Number.Integer),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
168 (r'([0-9]+)|(\'o)[0-7]+', Number.Oct),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
169 (r'\'[01xz]', Number),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
170 (r'\d+[Ll]?', Number.Integer),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
171 (r'\*/', Error),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
172 (r'[~!%^&*+=|?:<>/-]', Operator),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
173 (r'[()\[\],.;\']', Punctuation),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
174 (r'`[a-zA-Z_][a-zA-Z0-9_]*', Name.Constant),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
175
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
176 (r'(accept_on|alias|always|always_comb|always_ff|always_latch|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
177 r'and|assert|assign|assume|automatic|before|begin|bind|bins|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
178 r'binsof|bit|break|buf|bufif0|bufif1|byte|case|casex|casez|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
179 r'cell|chandle|checker|class|clocking|cmos|config|const|constraint|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
180 r'context|continue|cover|covergroup|coverpoint|cross|deassign|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
181 r'default|defparam|design|disable|dist|do|edge|else|end|endcase|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
182 r'endchecker|endclass|endclocking|endconfig|endfunction|endgenerate|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
183 r'endgroup|endinterface|endmodule|endpackage|endprimitive|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
184 r'endprogram|endproperty|endsequence|endspecify|endtable|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
185 r'endtask|enum|event|eventually|expect|export|extends|extern|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
186 r'final|first_match|for|force|foreach|forever|fork|forkjoin|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
187 r'function|generate|genvar|global|highz0|highz1|if|iff|ifnone|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
188 r'ignore_bins|illegal_bins|implies|import|incdir|include|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
189 r'initial|inout|input|inside|instance|int|integer|interface|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
190 r'intersect|join|join_any|join_none|large|let|liblist|library|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
191 r'local|localparam|logic|longint|macromodule|matches|medium|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
192 r'modport|module|nand|negedge|new|nexttime|nmos|nor|noshowcancelled|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
193 r'not|notif0|notif1|null|or|output|package|packed|parameter|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
194 r'pmos|posedge|primitive|priority|program|property|protected|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
195 r'pull0|pull1|pulldown|pullup|pulsestyle_ondetect|pulsestyle_onevent|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
196 r'pure|rand|randc|randcase|randsequence|rcmos|real|realtime|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
197 r'ref|reg|reject_on|release|repeat|restrict|return|rnmos|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
198 r'rpmos|rtran|rtranif0|rtranif1|s_always|s_eventually|s_nexttime|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
199 r's_until|s_until_with|scalared|sequence|shortint|shortreal|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
200 r'showcancelled|signed|small|solve|specify|specparam|static|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
201 r'string|strong|strong0|strong1|struct|super|supply0|supply1|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
202 r'sync_accept_on|sync_reject_on|table|tagged|task|this|throughout|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
203 r'time|timeprecision|timeunit|tran|tranif0|tranif1|tri|tri0|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
204 r'tri1|triand|trior|trireg|type|typedef|union|unique|unique0|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
205 r'unsigned|until|until_with|untyped|use|uwire|var|vectored|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
206 r'virtual|void|wait|wait_order|wand|weak|weak0|weak1|while|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
207 r'wildcard|wire|with|within|wor|xnor|xor)\b', Keyword ),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
208
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
209 (r'(`__FILE__|`__LINE__|`begin_keywords|`celldefine|`default_nettype|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
210 r'`define|`else|`elsif|`end_keywords|`endcelldefine|`endif|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
211 r'`ifdef|`ifndef|`include|`line|`nounconnected_drive|`pragma|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
212 r'`resetall|`timescale|`unconnected_drive|`undef|`undefineall)\b',
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
213 Comment.Preproc ),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
214
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
215 (r'(\$display|\$displayb|\$displayh|\$displayo|\$dumpall|\$dumpfile|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
216 r'\$dumpflush|\$dumplimit|\$dumpoff|\$dumpon|\$dumpports|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
217 r'\$dumpportsall|\$dumpportsflush|\$dumpportslimit|\$dumpportsoff|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
218 r'\$dumpportson|\$dumpvars|\$fclose|\$fdisplay|\$fdisplayb|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
219 r'\$fdisplayh|\$fdisplayo|\$feof|\$ferror|\$fflush|\$fgetc|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
220 r'\$fgets|\$fmonitor|\$fmonitorb|\$fmonitorh|\$fmonitoro|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
221 r'\$fopen|\$fread|\$fscanf|\$fseek|\$fstrobe|\$fstrobeb|\$fstrobeh|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
222 r'\$fstrobeo|\$ftell|\$fwrite|\$fwriteb|\$fwriteh|\$fwriteo|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
223 r'\$monitor|\$monitorb|\$monitorh|\$monitoro|\$monitoroff|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
224 r'\$monitoron|\$plusargs|\$readmemb|\$readmemh|\$rewind|\$sformat|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
225 r'\$sformatf|\$sscanf|\$strobe|\$strobeb|\$strobeh|\$strobeo|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
226 r'\$swrite|\$swriteb|\$swriteh|\$swriteo|\$test|\$ungetc|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
227 r'\$value\$plusargs|\$write|\$writeb|\$writeh|\$writememb|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
228 r'\$writememh|\$writeo)\b' , Name.Builtin ),
808
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
229
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
230 (r'(class)(\s+)', bygroups(Keyword, Text), 'classname'),
1705
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
231 (r'(byte|shortint|int|longint|integer|time|'
808
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
232 r'bit|logic|reg|'
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
233 r'supply0|supply1|tri|triand|trior|tri0|tri1|trireg|uwire|wire|wand|wor'
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
234 r'shortreal|real|realtime)\b', Keyword.Type),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
235 ('[a-zA-Z_][a-zA-Z0-9_]*:(?!:)', Name.Label),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
236 ('[a-zA-Z_][a-zA-Z0-9_]*', Name),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
237 ],
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
238 'classname': [
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
239 (r'[a-zA-Z_][a-zA-Z0-9_]*', Name.Class, '#pop'),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
240 ],
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
241 'string': [
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
242 (r'"', String, '#pop'),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
243 (r'\\([\\abfnrtv"\']|x[a-fA-F0-9]{2,4}|[0-7]{1,3})', String.Escape),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
244 (r'[^\\"\n]+', String), # all other characters
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
245 (r'\\\n', String), # line continuation
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
246 (r'\\', String), # stray backslash
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
247 ],
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
248 'macro': [
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
249 (r'[^/\n]+', Comment.Preproc),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
250 (r'/[*](.|\n)*?[*]/', Comment.Multiline),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
251 (r'//.*?\n', Comment.Single, '#pop'),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
252 (r'/', Comment.Preproc),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
253 (r'(?<=\\)\n', Comment.Preproc),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
254 (r'\n', Comment.Preproc, '#pop'),
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
255 ],
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
256 'import': [
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
257 (r'[a-zA-Z0-9_:]+\*?', Name.Namespace, '#pop')
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
258 ]
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
259 }
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
260
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
261 def get_tokens_unprocessed(self, text):
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
262 for index, token, value in \
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
263 RegexLexer.get_tokens_unprocessed(self, text):
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
264 # Convention: mark all upper case names as constants
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
265 if token is Name:
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
266 if value.isupper():
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
267 token = Name.Constant
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
268 yield index, token, value
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
269
1705
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
270 def analyse_text(text):
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
271 if text.startswith('//') or text.startswith('/*'):
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
272 return 0.5
808
8f85926125ef Updated Pygments to version 1.4.0.
Detlev Offenbach <detlev@die-offenbachs.de>
parents:
diff changeset
273
1705
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
274
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
275 class VhdlLexer(RegexLexer):
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
276 """
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
277 For VHDL source code.
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
278
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
279 *New in Pygments 1.5.*
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
280 """
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
281 name = 'vhdl'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
282 aliases = ['vhdl']
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
283 filenames = ['*.vhdl', '*.vhd']
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
284 mimetypes = ['text/x-vhdl']
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
285 flags = re.MULTILINE | re.IGNORECASE
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
286
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
287 tokens = {
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
288 'root': [
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
289 (r'\n', Text),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
290 (r'\s+', Text),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
291 (r'\\\n', Text), # line continuation
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
292 (r'--(?![!#$%&*+./<=>?@\^|_~]).*?$', Comment.Single),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
293 (r"'(U|X|0|1|Z|W|L|H|-)'", String.Char),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
294 (r'[~!%^&*+=|?:<>/-]', Operator),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
295 (r"'[a-zA-Z_][a-zA-Z0-9_]*", Name.Attribute),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
296 (r'[()\[\],.;\']', Punctuation),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
297 (r'"[^\n\\]*"', String),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
298
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
299 (r'(library)(\s+)([a-zA-Z_][a-zA-Z0-9_]*)',
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
300 bygroups(Keyword, Text, Name.Namespace)),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
301 (r'(use)(\s+)(entity)', bygroups(Keyword, Text, Keyword)),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
302 (r'(use)(\s+)([a-zA-Z_][\.a-zA-Z0-9_]*)',
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
303 bygroups(Keyword, Text, Name.Namespace)),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
304 (r'(entity|component)(\s+)([a-zA-Z_][a-zA-Z0-9_]*)',
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
305 bygroups(Keyword, Text, Name.Class)),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
306 (r'(architecture|configuration)(\s+)([a-zA-Z_][a-zA-Z0-9_]*)(\s+)'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
307 r'(of)(\s+)([a-zA-Z_][a-zA-Z0-9_]*)(\s+)(is)',
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
308 bygroups(Keyword, Text, Name.Class, Text, Keyword, Text,
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
309 Name.Class, Text, Keyword)),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
310
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
311 (r'(end)(\s+)', bygroups(using(this), Text), 'endblock'),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
312
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
313 include('types'),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
314 include('keywords'),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
315 include('numbers'),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
316
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
317 (r'[a-zA-Z_][a-zA-Z0-9_]*', Name),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
318 ],
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
319 'endblock': [
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
320 include('keywords'),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
321 (r'[a-zA-Z_][a-zA-Z0-9_]*', Name.Class),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
322 (r'(\s+)', Text),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
323 (r';', Punctuation, '#pop'),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
324 ],
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
325 'types': [
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
326 (r'(boolean|bit|character|severity_level|integer|time|delay_length|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
327 r'natural|positive|string|bit_vector|file_open_kind|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
328 r'file_open_status|std_ulogic|std_ulogic_vector|std_logic|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
329 r'std_logic_vector)\b', Keyword.Type),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
330 ],
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
331 'keywords': [
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
332 (r'(abs|access|after|alias|all|and|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
333 r'architecture|array|assert|attribute|begin|block|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
334 r'body|buffer|bus|case|component|configuration|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
335 r'constant|disconnect|downto|else|elsif|end|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
336 r'entity|exit|file|for|function|generate|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
337 r'generic|group|guarded|if|impure|in|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
338 r'inertial|inout|is|label|library|linkage|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
339 r'literal|loop|map|mod|nand|new|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
340 r'next|nor|not|null|of|on|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
341 r'open|or|others|out|package|port|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
342 r'postponed|procedure|process|pure|range|record|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
343 r'register|reject|return|rol|ror|select|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
344 r'severity|signal|shared|sla|sli|sra|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
345 r'srl|subtype|then|to|transport|type|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
346 r'units|until|use|variable|wait|when|'
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
347 r'while|with|xnor|xor)\b', Keyword),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
348 ],
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
349 'numbers': [
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
350 (r'\d{1,2}#[0-9a-fA-F_]+#?', Number.Integer),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
351 (r'[0-1_]+(\.[0-1_])', Number.Integer),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
352 (r'\d+', Number.Integer),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
353 (r'(\d+\.\d*|\.\d+|\d+)[eE][+-]?\d+', Number.Float),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
354 (r'H"[0-9a-fA-F_]+"', Number.Oct),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
355 (r'O"[0-7_]+"', Number.Oct),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
356 (r'B"[0-1_]+"', Number.Oct),
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
357 ],
b0fbc9300f2b Update to Pygments 1.5.
Detlev Offenbach <detlev@die-offenbachs.de>
parents: 808
diff changeset
358 }

eric ide

mercurial